CY7B92
3
CY7B93
3
Document #: 38-02017 Rev. *C Page 7 of 30
logic. Data is transferred to the Framer on each bit, and to the
Decode register once per byte.
Decode Register
The Decode register accepts data from the Shifter once per
byte as determined by the logic in the Clock Synchronization
block. It is presented to the Decoder and held until it is trans-
ferred to the output latch.
Decoder
Parallel data is transformed from ANSI-specified X3.230
8B/10B codes back to “raw data” in the Decoder. This block
uses the standard decoder patterns shown in the Valid Data
Characters and Valid Special Character Codes and
Sequences sections of this datasheet. Data patterns are
signaled by a LOW on the SC/D
output and Special Character
patterns are signaled by a HIGH on the SC/D
output. Unused
patterns or disparity errors are signaled as errors by a HIGH
on the RVS output and by specific Special Character codes.
Output Register
The Output register holds the recovered data (Q
0
-
7
, SC/D, and
RVS) and aligns it with the recovered byte clock (CKR). This
synchronization insures proper timing to match a FIFO interface or
other logic that requires glitch free and specified output behavior.
Outputs change synchronously with the rising edge of CKR.
In BIST mode, this register becomes the signature pattern
generator and checker by logically converting itself into a
Linear Feedback Shift Register (LFSR) pattern generator.
When enabled, this LFSR will generate a 511-byte sequence
that includes all Data and Special Character codes, including
the explicit violation symbols. This pattern provides a
predictable but pseudo-random sequence that can be
matched to an identical LFSR in the Transmitter. When
synchronized, it checks each byte in the Decoder with each
byte generated by the LFSR and shows errors at RVS.
Patterns generated by the LFSR are compared after being
buffered to the output pins and then fed back to the compar-
ators, allowing test of the entire receive function.
In BIST mode, the LFSR is initialized by the first occurrence of
the transmitter BIST loop start code D0.0 (D0.0 is sent only
once per BIST loop). Once the BIST loop has been started,
RVS will be HIGH for pattern mismatches between the
received sequence and the internally generated sequence.
Code rule violations or running disparity errors that occur as
part of the BIST loop will not cause an error indication. RDY
will
pulse HIGH once per BIST loop and can be used to check test
pattern progress. The receiver BIST generator can be reinitialized
by leaving and re-entering BIST mode.
Test Logic
Test logic includes the initialization and control for the Built-In
Self-Test (BIST) generator, the multiplexer for Test mode clock
distribution, and control logic for the decoder. Test logic is
discussed in more detail in the CY7B933 HOTLink Receiver
Operating Mode Description.
HOTLink CY7B923 Transmitter and CY7B933
Receiver Operation
The CY7B923 Transmitter operating with the CY7B933
Receiver form a general purpose data communications
subsystem capable of transporting user data at up to 33
Mbytes per second (40 Mbytes per second for –400 devices)
over several types of serial interface media. Figure 7 illustrates
the flow of data through the HOTLink CY7B923 transmitter pipeline.
Data is latched into the transmitter on the rising edge of CKW
when enabled by ENA
or ENN. RP is asserted LOW with a 60%
LOW/40% HIGH duty cycle when ENA
is LOW. RP may be used as
a read strobe for accessing data stored in a FIFO. The parallel data
flows through the encoder and is then shifted out of the OUTx± PECL
drivers. The bit-rate clock is generated internally from a
multiply-by-ten PLL clock generator. The latency through the
transmitter is approximately 21tB – 10 ns over the operating
range. A more complete description is found in the section
CY7B923 HOTLink Transmitter Operating Mode Description.
Figure 2 illustrates the data flow through the HOTLink
CY7B933 receiver pipeline. Serial data is sampled by the
receiver on the INx± inputs. The receiver PLL locks onto the
serial bit stream and generates an internal bit rate clock. The
bit stream is deserialized, decoded and then presented at the
parallel output pins. A byte rate clock (bit clock ³ 10)
synchronous with the parallel data is presented at the CKR pin.
The RDY
pin will be asserted to LOW to indicate that data or
control characters are present on the outputs. RDY will not be
asserted LOW in a field of K28.5s except for any single K28.5
or the last one in a continuous series of K28.5’s. The latency
through the receiver is approximately 24t
B
+ 10 ns over the
operating range. A more complete description of the receiver
is in the section CY7B933 HOTLink Receiver Operating Mode
Description.
The HOTLink Receiver has a built-in byte framer that synchro-
nizes the Receiver pipeline with incoming SYNC (K28.5)
characters. Figure 3 illustrates the HOTLink CY7B933
Receiver framing operation. The Framer is enabled when the
RF pin is asserted HIGH. RF is latched into the receiver on the
falling edge of CKR. The framer looks for K28.5 characters
embedded in the serial data stream. When a K28.5 is found,
the framer sets the parallel byte boundary for subsequent data
to the K28.5 boundary. While the framer is enabled, the RDY
pin indicates the status of the framing operation.
CY7B92
3
CY7B93
3
Document #: 38-02017 Rev. *C Page 8 of 30
When the RF pin is asserted HIGH, RDY leaves it normal
mode of operation and is asserted HIGH while the framer
searches the data stream for a K28.5 character. After the
framer has synchronized to a K28.5 character, the Receiver
will assert the RDY
pin LOW when the K28.5 character is
present at the parallel output. The RDY
pin will then resume
its normal operation as dictated by the MODE
and BISTEN
pins.
The normal operation of the RDY
pin in encoded mode is to
signal when parallel data is present at the output pins by
pulsing LOW with a 60% LOW/40% HIGH duty cycle. RDY
does not pulse LOW in a field of K28.5 characters; however,
RDY
does pulse LOW for the last K28.5 character in the field
or for any single K28.5. In unencoded mode, the normal
operation of the RDY
pin is to signal when any K28.5 is at the
parallel output pins.
The Transmitter and Receiver parallel interface timing and
functionality can be made to match the timing and functionality
of either an asynchronous FIFO or a clocked FIFO by appro-
priately connecting signals (See Figure 4). Proper operation of
the FIFO interface depends upon various FIFO-specific access and
response specifications.
The HOTLink Transmitter and Receiver serial interface
provides a seamless interface to various types of media. A
minimal number of external components are needed to
properly terminate transmission lines and provide PECL loads.
For proper power supply decoupling, a single 0.01 mF for each
device is all that is required to bypass the VCC and GND pins.
Figure 5 illustrates a HOTLink Transmitter and Receiver interface to
fiber optic and copper media. More information on interfacing
HOTLink to various media can be found in the HOTLink Design
Considerations application note.
CY7B923 HOTLink Transmitter Operating Mode
Description
In normal operation, the Transmitter can operate in either of
two modes. The Encoded mode allows a user to send and
receive eight-bit data and control information without first
converting it to transmission characters. The Bypass mode is
used for systems in which the encoding and decoding is
performed in an external protocol controller.
In either mode, data is loaded into the Input register of the
Transmitter on the rising edge of CKW. The input timing and
functional response of the Transmitter input can be made to
match the timing and functionality of either an asynchronous
FIFO or a clocked FIFO by an appropriate connection of input
signals (see Figure 4). Proper operation of the FIFO interface
depends upon various FIFO-specific access and response specifica-
tions.
Figure 2. CY7B933 Receiver Data Pipeline in Encoded Mode
Figure 3. CY7B933 Framing Operation in Encoded Mode
CKR
Q0
7,
SC/D
,
RVS
RDY
INX±
DATAK28.5
DATA
K28.5
PARALLEL
DATA
OUT
RDY
IS HIGH IN FIELD OF K28.5S
RDY
IS LOW FOR LAST K28.5
DATA
RDY IS LOW FOR DATA
RECEIVER LATENCY= 24t
B
+10ns
CKR
Q0
7,
SC/D
,
RVS
RDY
RDY IS HIGH WHILE WAITING FOR K28.5
RDY IS LOW
FOR K28.5
K28.5
RF
DATADATADATADATADATA DATA DATA
RDY RESUMES
NORMAL
OPERATION
CKR STRETCHES AS
DATA BOUNDARY CHANGES
RF LATCHED ON
FALLING EDGE OF CKR
CY7B92
3
CY7B93
3
Document #: 38-02017 Rev. *C Page 9 of 30
Encoded Mode Operation
In Encoded mode the input data is interpreted as eight bits of
data (D0 – D7), a context control bit (SC/D
), and a system
diagnostic input bit (SVS). If the context of the data is to be
normal message data, the SC/D
input should be LOW, and the
data should be encoded using the valid data character set
described in the Valid Data Characters section of this
datasheet. If the context of the data is to be control or protocol
information, the SC/D
input will be HIGH, and the data will be
encoded using the valid special character set described in the
Valid Special Character Codes and Sequences section.
Special characters include all protocol characters necessary
to encode packets for Fibre Channel, ESCON, proprietary
systems, and diagnostic purposes.
The diagnostic characters and sequences available as Special
Characters include those for Fibre Channel link testing, as well
as codes to be used for testing system response to link errors
and timing. A Violation symbol can be explicitly sent as part of
a user data packet (i.e., send C0.7; D
7-0
= 111 00000 and SC/D
= 1), or it can be sent in response to an external system using
the SVS input. This will allow system diagnostic logic to
evaluate the errors in an unambiguous manner, and will not
require any modification to the transmission interface to force
transmission errors for testing purposes.
Bypass Mode Operation
In Bypass mode the input data is interpreted as ten (10) bits
(D
b-h
), SC/D (Da), and SVS (Dj) of pre-encoded transmission
data to be serialized and sent over the link. This data can use
any encoding method suitable to the designer. The only
restrictions upon the data encoding method is that it contain
suitable transition density for the Receiver PLL data synchro-
nizer (one per 10 bit byte), and that it be compatible with the
transmission media.
Data loaded into the Input register on the rising edge of CKW
will be loaded into the Shifter on the subsequent rising edges
of CKW. It will then be shifted to the outputs one bit at a time
using the internal clock generated by the clock generator. The
first bit of the transmission character (Da) will appear at the
output (OUT, OUTB±, and OUTC±) after the next CKW edge.
While in either the Encoded mode or Bypass mode, if a CKW
edge arrives when the inputs are not enabled (ENA
and ENN
both HIGH), the Encoder will insert a pad character K28.5
(e.g., C5.0) to maintain proper link synchronization (in Bypass
Figure 4. Seamless FIFO Interface
7C42X/3X/6X/7X
CLOCKED FIFOASYNCHRONOUS FIFO
7C44X/5X
9
RQ
0 8
ENR Q
0 8
CKR
9
7B923 7B923
ENA D
0 7
,SC/DCKW RP ENN D
0 7
,SC/DCKW
FROM CONTROLLER
HOTLINK TRANSMITTER
HOTLINK RECEIVER
7B933 7B933
RDY Q
0 7
,SC/DCKRRDY Q
0 7
,SC/DCKR
9
9
WD
0 8
ENW D
0 8
CKW
7C42X/3X/6X/7X 7C44X/5X
CLOCKED FIFOASYNCHRONOUS FIFO
B923–21
HOTLINK TRANSMITTER
HOTLINK RECEIVER

CY7B933-SC

Mfr. #:
Manufacturer:
Cypress Semiconductor
Description:
IC TXRX FIBRE CHAN 28SOIC
Lifecycle:
New from this manufacturer.
Delivery:
DHL FedEx Ups TNT EMS
Payment:
T/T Paypal Visa MoneyGram Western Union

Products related to this Datasheet